6013A724EDA技术及应用

发布日期:2019-04-15  (点击次数:

EDA技术及应用课程教学大纲

课程名称:

EDA技术及应用

课程模块编码:

6013A724

总学时数:

32

课内实践学时数:

16

学    分:

2

开课单位:

电信学院

先修课程:

计算机应用基础、电子技术

适用专业对象:

电气工程及其自动化

 

一、课程性质、目的和任务

EDA技术及应用》课程电气工程及其自动化专业学生专业任选课程之一通过本课程学习,使学生能系统地掌握常用EDA工具的使用方法、FPGA的开发技术以及VHDL语言的编程方法和技巧的基本知识、原理和方法,初步具备使用常用EDA软件工具对FPGACPLD作一些简单电路系统设计的能力,同时能较好地使用VHDL语言设计简单的逻辑电路和逻辑系统,学会行为仿真、时序仿真和硬件测试技术的能力,为现代EDA工程技术的进一步学习打下基础。主要支撑毕业要求1.22.22.33.15.2达成。

通过本课程的学习,达到以下教学目标:

1工程知识

掌握必要的EDA技术基本知识够将其用于解决电气工程及相关领域的复杂工程问题。

2问题分析

能够借助本课程的理念,理解电气智能化装置或系统的嵌入式思想分析其基本工作原理,以满足电气系统运行维护或智能装置选用和改进的需要。

3设计/开发解决方案

在某些产品或系统的设计开发中能用本课程的基本知识并体现创新意识。

4使用现代工具

熟练运用Quartus 仿真工具,设计所需的智能装置或系统的部分模块。

1 课程教学目标对专业毕业要求的支撑

毕业要求指标点

课程目标

1.2掌握专业基础知识,并能将其用于电气工程领域相关工程问题的推演和分析,得出有意义的结果。

课程目标1:工程知识

掌握必要的EDA技术基本知识够将其用于解决电气工程及相关领域的复杂工程问题。

2.2能够运用工程科学的基本原理,对电气工程领域的工程实际问题进行识别和准确表达,以满足电气运行维护、工程设计的需要;

2.3能够运用电气工程专业知识对复杂工程问题进行分解、分析和钻研,并结合文献研究得出有效结论。

课程目标2:问题分析

能够借助本课程的理念,理解电气智能化装置或系统的嵌入式思想分析其基本工作原理,以满足电气系统运行维护或智能装置选用和改进的需要。

3.1能够综合运用所掌握的专业知识、技术手段和开发工具,设计满足特定需求的产品或系统。

课程目标3:设计/开发解决方案

在某些产品或系统的设计开发中能用到本课程的基本知识并体现创新意识。

5.2熟悉C语言、MATLAB、STEP7、PROTEUS、KEIL等软件仿真和在线硬件仿真工具的运用,能对工程问题进行模拟和预测,并理解其局限性。

课程目标4:使用现代工具

熟练运用Quartus Ⅱ仿真工具,设计所需的智能装置或系统的部分模块。

 

二、教学内容及教学基本要求

第一章 EDA技术概述

1、教学要求

掌握EDA技术设计流程、面向FPGAEDA开发流程和开发工具、EDA技术的主要内容。

了解EDA技术的涵义和发展趋势、EDA技术的应用形式和应用场合。

2、教学内容

第一节  EDA技术综述

知识要点:EDA技术涵义,EDA技术发展历程,EDA技术的应用形式和应用场合

第二节  EDA技术的主要内容

知识要点:可编程逻辑器件,硬件描述语言,软件开发工具,实验开发系统。

第三节  EDA技术的设计流程和设计方法

知识要点:面向FPGAEDA开发流程和开发工具,设计的编辑和编译,逻辑综合,适配,编程下载,仿真/硬件测试,自顶向下的设计方法,基于IP的设计。

3、本章重点、难点

本章重点:EDA技术涵义,EDA技术的主要内容,EDA技术的设计流程

本章难点:EDA技术的设计方法

4、本章学时数

本章教学时数:2学时,其中讲课2学时,实验(课内实践、上机)0学时。

 

  大规模可编程逻辑器件

1、教学要求

掌握可编程逻辑器件基本概念,CPLDFPGA的基本结构、配置模式

了解知名PLD产品,硬件测试。

2、教学内容

第一节  可编程逻辑器件概述

知识要点:可编程逻辑器件基本概念,PLD的发展历程,PLD的分类。

第二节  简单PLD结构原理

知识要点:逻辑元件符号表示,PROM结构原理,PLA结构原理,GAL结构原理。

第三节  CPLD结构原理

知识要点:逻辑阵列块LAB,宏单元,扩展乘积项,查找表逻辑结构,可编程连线阵列PIAI/O控制块。

第四节  FPGA的结构原理

知识要点:查找表逻辑结构,Cyclone III系列器件的结构原理。

第五节  硬件测试

知识要点:内部逻辑测试,JTAG边界扫描。

第六节  PLD产品概述

知识要点:Altera公司的PLD器件,Lattice公司的PLD器件,Xilinx公司的PLD器件,Actel 公司的PLD器件,AlteraFPGA配置方式。

第五节  CPLD/FPGA的编程与配置。

知识要点:CPLD在系统编程,FPGA配置方式,FPGA专用配置器件,使用单片机配置FPGA,使用CPLD配置FPGA

3、本章重点、难点

本章重点:可编程逻辑器件基本概念,CPLD结构原理,FPGA的结构原理。

本章难点:CPLD结构原理,FPGA的结构原理,CPLD/FPGA的编程与配置。

4、本章学时数

本章教学时数:2学时,其中讲课2学时,实验(课内实践、上机)0学时。

 

第三章 Quartus Ⅱ软件及其应用

1、教学要求

了解可编程逻辑器件的设计过程。

掌握Quartus Ⅱ的设计项目的编译、综合、仿真、适配、器件编程、下载和硬件调试等常规操作技术。

2、教学内容

第一节  Quartus Ⅱ软件的使用

知识要点:EDA实验开发系统的使用,Quartus Ⅱ软件的基本使用方法。

第二节  Quartus Ⅱ的输入设计

知识要点:利用Quartus Ⅱ软件进行设计的流程、引脚设置和下载、实时测试。

3、本章重点、难点

本章重点:Quartus Ⅱ软件的使用,Quartus Ⅱ的输入设计。

本章难点:Quartus Ⅱ的输入设计。

4、本章学时数

本章教学时数:4学时,其中讲课2学时,实验(课内实践、上机)2学时。

 

第四章 VHDL硬件描述语言

1、教学要求

掌握VHDL的基本数据类型、基本描述语句、子程序、程序包和库的使用,在此基础上利用VHDL语言进行简单的电路设计。

了解VHDL的描述风格。

2、教学内容

第一节  VHDL概述

知识要点:常用的硬件描述语言和VHDL的特点;VHDL程序设计约定。

第二节  VHDL程序基本结构

知识要点:VHDL程序的基本结构,库、程序包、配置的语句结构和使用方法,实体和结构体的语句格式和描述语句。

第三节  VHDL语言要素

知识要点:VHDL文字规则和数据对象、数据类型的含义、数据类型转换;各种数据类型和运算操作符的使用方法。

第四节  VHDL的基本描述语句

知识要点:各种顺序语句和并行语句的语句格式和使用方法;属性语句与定义语句的应用。

3、本章重点、难点

本章重点:VHDL的基本数据类型;VHDL的基本描述语句;子程序;程序包和库。

本章难点:VHDL的基本描述语句。

4、本章学时数

本章教学时数:6学时,其中讲课6学时,实验(课内实践、上机)0学时。

 

 

第五章 利用VHDL程序设计基本数字逻辑电路

1、教学要求

掌握掌握基本数字组合逻辑电路的各种设计方法,并通过Quartus II软件为平台来实现。 

2、教学内容

第一节  组合逻辑电路设计

知识要点: 基本门电路、译码器、编码器、算术运算电路、三态门及总线缓冲器的设计,数据选择器的设计,数据分配器的设计,组合电路的设计步骤和方法。

第二节  时序逻辑电路设计

知识要点:时钟信号和复位信号的描述语句,基本触发器、寄存器、计数器的设计方法。

3、本章重点、难点

本章重点:数据选择器的设计,数据分配器的设计,译码器的设计,三态门的设计,多位加减法器的设计,触发器的设计,计数器的设计,移位寄存器的设计。

本章难点:多位加减法器的设计,计数器的设计,移位寄存器的设计

4、本章学时数

本章教学时数:16学时,其中讲课2学时,实验(课内实践、上机)14学时。

三、课内实践环节教学安排及要求

序号

实验(实践、上机)项目名称

项目目的及基本要求

学时

实验类型

备注

1

一位全加器的设计

熟悉设计流程;掌握Quartus Ⅱ的原理图输入设计方法;熟悉利用实验设备进行编程下载和结果验证的方法。

2

验证性

必修

2

组合逻辑电路的设计

掌握常用组合逻辑电路的设计方法和测试方法;熟悉FPGA设计的过程,比较原理图输入和文本输入的优劣。

2

验证性

选修

3

触发器功能的模拟实现

掌握触发器功能的测试;掌握时序逻辑电路的设计方法。

2

验证性

必修

4

计数器的设计

熟悉各种计数器的VHDL描述方法;掌握VHDL的多进程及多层次设计方法。

2

验证性

必修

5

计数译码显示电路设计

掌握7段数码显示译码器的设计方法;熟悉VHDL的CASE语句应用级多层次设计方法。

2

设计性

选修

6

数控分频器的设计

掌握数控分频器的设计、分析和测试方法;掌握分频器的扩展方法。

2

验证性

必修

7

八位全加器的设计

熟悉八位全加器的基本设计方法;掌握利用VHDL语言和原理图输入法相结合的设计方法;掌握层次化设计的方法。

2

设计性

必修

8

多路选择器的设计

熟悉QuartusⅡ的VHDL文本设计流程;掌握分别用CASE语句、条件信号赋值语句、选择信号赋值语句等进行多路选择器的设计方法。

2

验证性

必修

注:实验类型是指验证性、综合性、设计性。

四、教学手段、方法建议

建议本课程采用小组合作策略、问题解决类策略,有效调动学生的学习积极性。

建议本课程在教学中运用多媒体教学手段,增加学生的学习兴趣,使学生易于理解掌握所授知识。

六、课程考核方式及要求

1.考核方式:考试();考查(√)

2.成绩评定:

计分制:百分制();五级分制(√);两级分制()

总评成绩构成:采用(N+2)考核模式:笔记考核(10)%;过程考核(40)%;结课考核(50)%

其中过程考核构成:随堂测试(40)%;习题作业(20)%;实验(20)%;考勤考纪(20)%等。

六、建议教材及参考资料

建议教材:

EDA技术实用教程——VHDL版(第版)》,潘松、黄继业主编,科学出版社,2013年版

参考资料:

1. EDA技术及应用》,张丽华主编机械工业出版社2016年版

2. EDA技术基础(第2版)》,焦素敏主编清华大学出版社,2014年版

 

八、大纲说明

本教学大纲是根据《辽宁科技学院课程教学大纲管理办法》的要求而编制,教学大纲仅作为指导和参考,而不作为约束教师的法规,要鼓励教师进行教学改革,教师可以根据课程的特点,针对学生的情况,对内容进行补充和修改。

 

     人: 樊爱龙

参加研讨人员: 周振超、王晓光

     人: 关大陆

     人: 赵双元

版权所有:辽宁科技学院电气与自动化工程学院